Xilinx ISE V14.7 官方版(Xilinx ISE V14.7 官方版怎么用)

贾栋薇
导读 关于Xilinx ISE V14 7 官方版,Xilinx ISE V14 7 官方版怎么用这个很多人还不知道,小鑫来为大家解答以上的问题,现在让我们一起来看

关于Xilinx ISE V14.7 官方版,Xilinx ISE V14.7 官方版怎么用这个很多人还不知道,小鑫来为大家解答以上的问题,现在让我们一起来看看吧!

  Xilinx ISE是一款非常好用的电子设计套件,为设计流程的每一步都提供了直观的生产力增强工具,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大,可谓是电子设计行业用户的最佳选择。

【功能特点】

  ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,从功能上讲,完成CPLD/FPGA的设计流程无需借助任何第三方EDA软件。

  1、图形或文本输入(Design Entry)

  图形或文本输入包括原理图、状态机、波形图、硬件描述语言,HDL,是工程设计的第一步,ISE集成的设计工具主要包括HDL编辑器,HDL Editor、状态机编辑器,StateCAD、原理图编辑器,ECS、IP核生成器,CoreGenerator和测试激励生成器,HDL Bencher等。

  常用的设计输入方法是硬件描述语言,HDL和原理图设计输入方法。原理图输入是一种常用的基本的输入方法,其是利用元件库的图形符号和连接线在ISE软件的图形编辑器中作出设计原理图,ISE中设置了具有各种电路元件的元件库,包括各种门电路、触发器、锁存器、计数器、各种中规模电路、各种功能较强的宏功能块等用户只要点击这些器件就能调入图形编辑器中。这种方法的优点是直观、便于理解、元件库资源丰富。但是在大型设计中,这种方法的可维护性差,不利于模块建设与重用。更主要的缺点是:当所选用芯片升级换代后,所有的原理图都要作相应的改动。故在ISE软件中一般不利用此种方法。

  为了克服原理图输入方法的缺点,目前在大型工程设计中,在ISE软件中常用的设计方法是HDL设计输入法,其中影响最为广泛的HDL语言是VHDL和Verilog HDL。它们的共同优点是利于由顶向下设计,利于模块的划分与复用,可移植性好,通用性强,设计不因芯片的工艺和结构的变化而变化,更利于向ASIC的移植,故在ISE软件中推荐使用HDL设计输入法。

  波形输入及状态机输入方法是两种最常用的辅助设计输入方法,使用波形输入法时,只要绘制出激励波形的输出波形,ISE软件就能自动地根据响应关系进行设计;而使用状态机输入时,只需设计者画出状态转移图,ISE软件就能生成相应的HDL代码或者原理图,使用十分方便。其中ISE工具包中的StateCAD就能完成状态机输入的功能。但是需要指出的是,后两种设计方法只能在某些特殊情况下缓解设计者的工作量,并不适合所有的设计。

  2、综合,Synthesis

  综合是将行为和功能层次表达的电子系统转化为低层次模块的组合。一般来说,综合是针对VHDL来说的,即将VHDL描述的模型、算法、行为和功能描述转换为FPGA/CPLD基本结构相对应的网表文件,即构成对应的映射关系。

  在Xilinx ISE中,综合工具主要有Synplicity公司的Synplify/Synplify Pro,Synopsys公司的FPGA Compiler II/ Express, Exemplar Logic公司的LeonardoSpectrum和Xilinx ISE中的XST等,它们是指将HDL语言、原理图等设计输入翻译成由与、或、非门,RAM,寄存器等基本逻辑单元组成的逻辑连接,网表,并根据目标与要求优化所形成的逻辑连接,输出edf和edn等文件,供CPLD/FPGA厂家的布局布线器进行实现。

  3、实现(Implementation)

  实现是根据所选的芯片的型号将综合输出的逻辑网表适配到具体器件上。Xilinx ISE的实现过程分为:翻译,Translate、映射,Map、布局布线,Place Route等3个步骤。

  ISE集成的实现工具主要有约束编辑器,Constraints Editor、引脚与区域约束编辑器,PACE、时序分析器,Timing Analyzer、FPGA底层编辑器,FGPA Editor、芯片观察窗,Chip Viewer和布局规划器,Floorplanner等。

  4、验证,Verification

  验证(Verification)包含综合后仿真和功能仿真,Simulation等。功能仿真就是对设计电路的逻辑功能进行模拟测试,看其是否满足设计要求,通常是通过波形图直观地显示输入信号与输出信号之间的关系。 综合后仿真在针对目标器件进行适配之后进行,综合后仿真接近真实器件的特性进行,能精确给出输入与输出之间的信号延时数据。

  ISE可结合第三方软件进行仿真,常用的工具如Model Tech公司的仿真工具ModelSim和测试激励生成器HDL Bencher ,Synopsys公司的VCS等。通过仿真能及时发现设计中的错误,加快设计中的错误,加快设计进度,提高设计的可靠性。

  每个仿真步骤如果出现问题,就需要根据错误的定位返回到相应的步骤更改或者重新设计。

  5、下载

  下载,Download即编程,Program设计开发的最后步骤就是将已经仿真实现的程序下载到开发板上,进行在线调试或者说将生成的配置文件写入芯片中进行测试。在ISE中对应的工具是iMPACT。

本文到此分享完毕,希望对大家有所帮助。

标签:

免责声明:本文由用户上传,如有侵权请联系删除!